Giáo trình thực hành thiết kế vi mạch số bằng VHDL-XILINX

Giáo trình thực hành thiết kế vi mạch số với VHDL được tác giả biên soạn dựa trên kit FPGA dùng chip Xilinx có các kết nối ngoại vi cơ bản giúp cho sinh viên hay người mới bắt đầu tiếp cận một cách dễ dàng, phần mềm EDA do Xilinx cung cấp, ngôn ngữ được sử dụng là VHDL.

Giáo trình thực hành thiết kế vi mạch số bằng VHDL-XILINX

Trương Thị Bích Ngà

Thanh Niên

2018

Tóm tắt

Đứng trước sự phát triển mạnh mẽ của vi mạch số, sinh viên đại học ngành kỹ thuật điện tử công nghiệp, điện tử truyền thông, kỹ thuật máy tính cần phải được trang bị các kiến thức thiết kế vi mạch số cơ bản và ngôn ngữ lập trình mô tả phần cứng (HDL) .

Thông qua môn học lý thuyết và trải nghiệm thực tế, thực hành thiết kế vi mạch, giúp các bạn sinh viên có thể nắm vững được những kiến thức cơ bản và thực hành tốt hơn khi ra trường và làm việc ở các công ty thiết kế vi mạch.

Các bài thực hành thiết kế vi mạch được trình bày trong giáo trình này đi từ cơ bản đến phức tạp, từ đơn giản đến nâng cao để người học dễ tiếp thu và dễ thực hành.

Nội dung giáo trình chia làm 08 chương:

  • Chương 1: Hướng sẫn sử dụng kit FPGA Xilinux FPGA XC3S500
  • Chương 2: Hướng dẫn sử dụng ÍE DESIGN SUITE 14.2
  • Chương 3: Thiết kế mạch tổ hợp
  • Chương 4: Thiết kế mạch tuần tự 1: Chia xung, đếm nhị phân, mô hình trạng thái máy
  • Chương 5: Thiết kế mạch tuần tự 2: Đếm hiển thị trên Led 7 đoạn
  • Chương 6: Thiết kế mạch điều khiển LCD
  • Chương 7: Thiết kế mạch giao tiếp bộ nhớ RAM
  • Chương 8: Thiết kế mạch truyền dữ liệu UART

Trích dẫn

Trương Thị Bích Ngà, Giáo trình thực hành thiết kế vi mạch số bằng VHDL-XILINX, Thanh Niên, 2018

Bộ sưu tập

Ngành CÔNG NGHỆ KỸ THUẬT ĐIỀU KHIỂN VÀ TỰ ĐỘNG HÓA (7510303)

Tài liệu liên quan

Giáo trình thực hành thiết kế vi mạch số bằng VHDL-XILINXTự động hóa quá trình sản xuấtIndustrial automation with scada: Concepts, communications and security
Giáo trình thực hành thiết kế vi mạch số bằng VHDL-XILINXTự động hóa quá trình sản xuấtIndustrial automation with scada: Concepts, communications and security

Mã QR

Giáo trình thực hành thiết kế vi mạch số bằng VHDL-XILINX

Nội dung

  • Chủ Nhật, 14:32 24/04/2022

Tin tiêu điểm

PGS.TS Nguyễn Thị Hồng Nga, Giám đốc - Trung tâm Đào tạo Sau đại học trao tặng 02 đầu sách ngoại văn cho Trung tâm Thông tin - Thư viện

Thứ Sáu, 07:37 24/05/2024
Hướng dẫn khai thác Bộ sưu tập tài nguyên giáo dục mở (OER)

Hướng dẫn khai thác Bộ sưu tập tài nguyên giáo dục mở (OER)

Thứ Bảy, 15:58 04/05/2024

Truy cập hàng triệu sách điện tử miễn phí với The Online Books Page

Thứ Hai, 08:38 22/01/2024
5 khóa học miễn phí về thiết kế đồ họa

5 khóa học miễn phí về thiết kế đồ họa

Thứ Tư, 09:33 13/12/2023

7 khóa học “Kỹ thuật cơ khí” sinh viên ngành Cơ khí cần biết

Thứ Sáu, 13:57 08/12/2023

Các bài đã đăng

Energy Transfer in Alternative Vehicles

Thứ Sáu, 14:29 21/06/2024

Age of Auto Electric: Environment, Energy, and the Quest for the Sustainable Car

Thứ Sáu, 14:16 21/06/2024

Our Car as Power Plant

Thứ Sáu, 14:05 21/06/2024

企業変革の名著を読む = Đọc những cuốn sách hay nhất về chuyển đổi doanh nghiệp

Thứ Tư, 14:18 12/06/2024

Đánh thức năng lực vô hạn = Unlimited power

Thứ Tư, 14:08 12/06/2024

Giải bài tập xử lý tín hiệu số và Matlab

Chủ Nhật, 13:48 24/04/2022

Giáo trình vật liệu điện

Chủ Nhật, 13:26 24/04/2022

Giáo trình Tổng hợp hệ thống điện cơ

Thứ Bảy, 16:27 23/04/2022

Giáo trình mạch điện 1

Thứ Bảy, 16:04 23/04/2022

Giáo trình lý thuyết mạch điện

Thứ Bảy, 14:31 23/04/2022